From bb03cc435790bc827736525470be78c8f2b03f2d Mon Sep 17 00:00:00 2001 From: Peli de Halleux Date: Mon, 4 Apr 2016 22:15:52 -0700 Subject: [PATCH] static microbit image (svg) --- docs/static/microbitheart.svg | 94 +++++++++++++++++++++++++++++++++++ 1 file changed, 94 insertions(+) create mode 100644 docs/static/microbitheart.svg diff --git a/docs/static/microbitheart.svg b/docs/static/microbitheart.svg new file mode 100644 index 00000000..ebe35532 --- /dev/null +++ b/docs/static/microbitheart.svg @@ -0,0 +1,94 @@ +(0,0)(1,0)(2,0)(3,0)(4,0)(0,1)(1,1)(2,1)(3,1)(4,1)(0,2)(1,2)(2,2)(3,2)(4,2)(0,3)(1,3)(2,3)(3,3)(4,3)(0,4)(1,4)(2,4)(3,4)(4,4)P0, ANALOG INP1, ANALOG INP2, ANALOG INP3, ANALOG IN, LED Col 1P4, ANALOG IN, LED Col 2P5, BUTTON AP6, LED Col 9P7, LED Col 8P8P9, LED Col 7P10, ANALOG IN, LED Col 3P11, BUTTON BP12, RESERVED ACCESSIBILITYP13, SPI - SCKP14, SPI - MISOP15, SPI - MOSIP16, SPI - Chip SelectP17, +3v3P18, +3v3P19, I2C - SCLP20, I2C - SDAGNDGND+3v3GNDAB \ No newline at end of file